Интернет магазин китайских планшетных компьютеров



Компьютеры - Verilog - История

01 мая 2011


Оглавление:
1. Verilog
2. История
3. Пример
4. Открытое аппаратное обеспечение, использующее Verilog



Создание

Verilog был создан Phil Moorby и Prabhu Goel зимой 1983—1984 годов в фирме Automated Integrated Design Systems как язык моделирования аппаратуры. В 1990 году Gateway Design Automation была куплена Cadence Design Systems. Компания Cadence имеет права на логические симуляторы Gateway’s Verilog и Verilog-XL simulator.

Verilog-95

Во время увеличивающейся популярности языка VHDL, Cadence приняла решение добиться стандартизации языка. Cadence передала Verilog в общественное достояние Verilog был послан в IEEE и принят как стандарт IEEE 1364—1995.

Verilog 2001

Дополнения к языку Verilog-95 были приняты как IEEE 1364—2001.

Verilog-2001 является значительно обновленным по сравнению с Verilog-95. Во-первых, он добавил поддержку знаковых переменных. Прежде авторам кода приходилось реализовывать знаковые операции с использованием большого количества битовых логических операций . Та же функциональность на Verilog-2001 описывается встроенными операторами языка: +, -, /, *, >>>. Был улучшен файловый ввод-вывод. Для улучшения читаемости кодов был немного изменен синтаксис, например always @*, переопределение именованных параметров, объявление заголовков функций, задач и модулей в стиле Си.

Verilog-2001 является самым часто используемым диалектом языка и поддерживается в большинстве коммерческих САПР для электроники.

Verilog 2005

Verilog 2005 добавил небольшие исправления, уточнения спецификаций и несколько новых синтаксических конструкций, например, ключевое слово uwire.

Отдельная от стандарта часть, Verilog-AMS, позволяет моделировать аналоговые и аналого-цифровые устройства.

SystemVerilog

SystemVerilog является надмножеством Verilog-2005, с многими новыми возможностями для верификации и моделирования дизайнов.




Просмотров: 5495


<<< TopoR
Verilog-AMS >>>